自查报告 | 情况报告 | 事迹材料 | 申报材料 | 实习报告 | 述职报告 | 述廉报告 | 调研报告 | 调查报告 | 实验报告 | 整改措施 | 整改报告 | 整改方案 | 报告写作
开题报告 | 辞职报告 | 申请报告 | 考察报告 | 工作报告 | 离职报告 | 结题报告 | 竞聘报告 | 学习报告 | 请示报告 | 社会调查报告 | 可行性报告 | 社会实践报告 

电子技术文献综述

摘要:本文讲述了一种基于VHDL设计密码锁的原理和方法,这种密码锁具有安全性高,成本低,操作简单等特点。以下是几位专家用VHDL语言解决和设计的密码锁的方案以及实例描述。
关键词:VHDL  密码锁
前言:随着社会的发展和人们生活水平的提高,人们的安全意识也逐渐加强。密码锁以安全性高,成本低等优点受到越来越多人的欢迎。VHDL语言覆盖面广,描述能力强,所以采用VHDL语言所设计的密码锁更是受到绝大多数的人的接受和欢迎。
正文:
1.vhdl
1.1 vhdl语言的概念及特点
VHDL的英文全名是Very-High-Speed Integrated Circuit HardwareDescription Language,诞生于1982年。1987年底,VHDL被IEEE和美国国防部确认为标准硬件描述语言 。自IEEE公布了VHDL的标准版本,各EDA公司相继推出了自己的VHDL设计环境,或宣布自己的设计工具可以和VHDL接口。此后VHDL在电子设计领域得到了广泛的接受,并逐步取代了原有的非标准的硬件描述语言。在电子工程领域,已成为事实上的通用硬件描述语言。有专家认为,在新的世纪中,VHDL语言将承担起大部分的数字系统设计任务。除了含有许多具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体,分成外部和内部,既涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。
1.2 vhdl语言出现的背景
一种硬件描述语言广义地说是描述电子实体的语言,比如说逻辑图,电路图等。但是由于一些大规模电路的出现,逻辑图,布尔方程已经不太适用,因此需要在更高层次上描述系统。期间也出现过多种HDL语言,但都没有得到大家的人认可,但是也为vhdl语言的出现奠定了一定的理论及试验基础。再后来就出现了vhdl语言。Vhdl语言主要针对的是电路设计等方面。密码锁在vhdl中的实现实际上就是vhdl语言在数字电路中的一种应用。
2.以下是几位专家对基于vhdl语言的密码锁设计提出一些看法:
(1). 山东理工大学张雪实验师针对基于vhdl语言的设计提出了数字密码锁的设计的思想,她的观点是这样的:
她就普通的密码锁做了一些,提出了一些普通密码锁所存在的缺点,比如说安全性不够高,无法进行密码核对等。因此基于这些普通的密码锁所存在的问题,她提出了数字密码锁的概念,数字密码锁是在实际应用中使用得极其广泛的一种数字电路,他的主要功能时用来对某些重要物品进行加密保护,目的是避免无权人员使用某些设施或进行越权操作。她在文章中介绍的这款密码锁主要完成上锁,密码输入,密码核对,开启电锁,密码修改等功能。详细说明了该数字密码锁的内部结构及其主要功能,讲述了数字密码锁的模块划分极其工作流程。在该数字电路设计中,采用了自顶向下的设计方法,主要目的是对数字系统进行模块划分,这样可以将设计简化成相对简单的模块设计,不同的模块完成数字系统中某一部分的具体功能。最后还对整个密码锁的实现过程做了整体的仿真效果,仿真反馈的结果可以验证程序设计的可行性与可靠性。该数字密码锁的设计过程表明,用vhdl语言可以快速,灵活的设计出符合各种要求的数字密码锁,而且操作简单,稍加修改就可以改变密码的位数,增强其安全性,且很容易做成ASIC芯片,使设计过程达到高度自动化。
(2).湖南大学胡红艳工程师针对基于VHDL语言的电子设计又提出了关于智能密码锁设计的观点,她提出的设计理念如下:
    随着社会物质财富的日益增长,安全防盗已成为全社会的问题,弹子锁由于结构上的局限已难以满足当前社会管理和防盗要求,电子密码由于其自身的优势,越来越受到人们的青睐,但是目前使用的电子密码锁大部分是基于单片机用分离元件实现的,其成本较高且可靠性不能得以保证。基于此她介绍了一种利用EDA技术和VHDL语言,在MAX+PLUS2环境下设计的一种新型的智能密码锁,首先针对智能密码锁的设计提出了一些要求,即该智能密码锁的密码初始化,密码设定,密码修改以及输入密码的次数进行了严格的规定。然后又介绍了该智能密码锁的总体结构以及结构中所用控制模块的简单工作原理,最后还对该智能密码锁进行了误差分析。设计的这种新型的智能密码锁具有密码预置和误码报警等功能,用一片FPGA芯片实现,从而大大简化了系统结构,降低了成本 提高了系统的保密性和可靠性。这款智能密码锁具有体积小,功耗低,价格便宜,安全可靠,维护何升级度十分方便等优点,因此提出它具有较好的应用前景。
(3).西南交通大学的专家曹建国针对基于VHDL语言的电路设计提出了电子密码锁的设计与实现,他的见解如下:
   针对以前的数字密码锁和智能密码锁中锁存在的以下问题,他提出了一些新的观点,比如说密码的输入一般采用机械式何触摸式两种键盘,机械式键盘易产生抖动问题,抖动会造成帧丢失或出错,这些都会降低图像或声音的质量。因此他又提出了可以在系统中加入抖动消除电路的思想。他介绍的是一种基于VHDL设计一种数字电子密码锁的原理何方法,该电子密码锁所用的开发工具为ISE,还用了仿真工具ModelismSE。首先对该电子密码锁的功能进行了描述,主要达到以下的五个功能:数码输入,数码清除,密码激活,密码修改,电锁解除。接着提出了他的设计思路极其原理,对密码如何输入,输出及修改的过程都做了详细的介绍并且还做了图形分析。他提出了以 DSP/BIOS操作系统为软件架构,以 DM642为核心构成了单芯片的多媒体嵌入式硬件平台,采用了最新的 H.264视频压缩算法和基于RTP/RTCP协议的流媒体实时传输技术,对网络带
宽的变化具有较强的自适应能力。设计该电子密码锁的过程中所开发的这个系统实现了整个系统的高度集成,开发成本低,并在满足实时传输的同时还提供了很高的图像显示质量,具有良好的发展前景。
(4)徐州建筑职业技术学院教师刘燎原通过实例来说明利用VHDL 语言实现数字系统的过程。以下是她用VHDL语言实现了汉明码的编码和译码的原理以及部分汉明码的编码源程序:
汉明码是在原编码的基础上附加一部分代码,使其满足纠错码的条件。它属于线性分组码,由于汉明码的抗干扰能力较强 ,至今仍是应用比较广泛的一类码。汉明码可以用数字门电路或移位寄存器等硬件电路来实现 ,但硬件电路搭接电路较复杂且易存在干扰。因此她介绍了采用 VHDL 语言来实现汉明码的编码和译码过程。
下面是她给出的汉明码的编码及译码源程序:
编码源程序:
 LIBRARYieee;
USE ieee. std_logic_1164. ALL ;
ENTITY hamenc IS
PORT (datain : IN BIT_VECTOR 0 TO 3) ;
hamout : OUT BIT_VECTOR (0 TO 7)) ;
END hamenc;
ARCHITECTURE ver2 OF hamenc IS
SIGNAL p0 , p1 , p2 , p4 : BIT;
BEGIN
 p0〈= (datain( 0) XOR datain( 1) )XOR datain( 2);
 p1〈= (datain( 0 )XOR datain( 1 ) )XOR datain (3);
 p2〈= (datain (0) XOR datain( 2)) XOR datain (3 );
 p4〈= ( datain( 1) XOR datain (2)) XOR datain (3) ;
 hamout (4 TO 7)〈= (p0 , p1 , p2 , p4) ;
 hamout( 0 TO 3)〈= datain( 0 TO 3);
END ver2;
译码源程序:
LIBRARYieee;
USE ieee. std_logic_1164.ALL ;
ENTITY hamdec IS
   PORT( hamin : IN BIT_VECTOR( 0 TO 7 ); ———d0 d1 d2 d3p0 p1 p2 p4
dataout : OUT BIT_VECTOR (0 TO 3 ); ———d0 d1 d2 d3
sec , ded , ne : OUT BIT) ; ———diagnostic outputs
END hamdec;
ARCHITECTURE ver1 OF hamdec IS
BEGIN
PROCESS( hamin)
VARIABLE syndrome : BIT_VECTOR (3 DOWNTO 0) ;
BEGIN
syndrome (0) : =((((((( hamin( 0) XOR hamin( 1)) XOR hamin
上一篇:大学生诚信论文 下一篇:化工文献综述